• In dieser Sektion des Forums werden Pressemitteilungen der Hersteller 1:1 veröffentlicht. Planet 3DNow! übernimmt weder für die Richtigkeit der Angaben noch für die Art der Formulierung jedwede Verantwortung. Oft sind Pressemitteilungen mit typischen Marketing-Floskeln wie "einer der bedeutendsten Herstellern von XY" versetzt. Diese Aussagen sind subjektiv und geben nicht notwendigerweise die Meinung der auf Planet 3DNow! schreibenden Autoren wieder.

Samsung Announces Availability of its Silicon-Proven 3D IC Technology for High-Performance Applications

Pressemitteilung

Hinweis: Dieser Inhalt ist eine Pressemitteilung des Herstellers. Planet 3DNow! prüft weder die Richtigkeit der Angaben noch die Art der Formulierung. Pressemitteilungen können subjektiv sein und geben nicht notwendigerweise die Meinung der auf Planet 3DNow! schreibenden Autoren wieder.
<h3 class="subtitle">Samsung 'X-Cube' enables industry-first 3D SRAM-logic working silicon at 7nm and beyond.
Bandwidth and density can be scaled to suit diverse design requirements in emerging applications.</h3>
Korea on August 13, 2020 - Samsung Electronics, a world leader in advanced semiconductor technology, today announced the immediate availability of its silicon-proven 3D IC packaging technology, eXtended-Cube (X-Cube), for today’s most advanced process nodes. Leveraging Samsung’s through-silicon via (TSV) technology, X-Cube enables significant leaps in speed and power efficiency to help address the rigorous performance demands of next-generation applications including 5G, artificial intelligence, high-pe

“Samsung’s new 3D integration technology ensures reliable TSV interconnections even at the cutting-edge EUV process nodes,” said Moonsoo Kang, senior vice president of Foundry Market Strategy at Samsung Electronics. “We are committed to bringing more 3D IC innovation that can push the boundaries of semiconductors.”

[caption id="attachment_57661" align="aligncenter" width="650"] *The image shown is for illustration purpose only.[/caption]

With Samsung’s X-Cube, chip designers can enjoy greater flexibility to build custom solutions that best suit their unique requirements. The X-Cube test chip built on 7nm uses TSV technology to stack SRAM on top of a logic die, freeing up space to pack more memory into a smaller footprint. Enabled by 3D integration, the ultra-thin package design features significantly shorter signal paths between the dies for maximized data transfer speed and energy efficiency. Customers can also scale the memory bandwidth and density to their desired specifications.

Samsung X-Cube’s silicon-proven design methodology and flow are available now for advanced nodes including 7nm and 5nm. Building on the initial design, Samsung plans to continue collaborating with global fabless customers to facilitate the deployment of 3D IC solutions in next-generation high-performance applications.

More details on Samsung X-Cube will be presented at Hot Chips, an annual conference on high-performance computing, which will be livestreamed Aug. 16-18.
<iframe src="
" width="560" height="315" frameborder="0" allowfullscreen="allowfullscreen"></iframe>​
 
Zurück
Oben Unten