Spekulationen zu aktuellen und zukünftigen Prozessen bei GlobalFoundries (<= 32nm)

Das ergibt Sinn. NXP gehört zu den Firmen, die zwar auch eine "Fab-Light" Strategie fahren ... aber auch sie setzen mitunter SOI-Technik ein.

Also von daher kein unbeschriebenes Blatt.

Das er aus dem Fab-Umfeld stammt sollte offensichtlich als Vorteil gewertet werden wg. Beziehungen. Aber SOI/nicht SOI? Glaubst Du der geht jetzt durch die Reinräume und gibt dem Personal Anweisungen "die Temperatur hier noch um drei Grad steigern", dort drüben bitte aufpassen das kein Licht rankommt"?
 
Aber SOI/nicht SOI? Glaubst Du der geht jetzt durch die Reinräume und gibt dem Personal Anweisungen "die Temperatur hier noch um drei Grad steigern", dort drüben bitte aufpassen das kein Licht rankommt"?
Natürlich nicht, weiss jedoch mehr von Problemen/Vorteilen, als ein Manager welcher nie mit SOI zu tun hatte, was siche nicht schlecht ist.

Mal abgesehen davon das er erst im Oktober kommt.
 
Ich gehe mal davon aus das ich nicht der einzige bin der die Pressemitteilungen auf P3D eher durch Zufall sieht wenn sie nicht prominent angekündigt werden. Daher mal der Hinweis auf GLOBALFOUNDRIES and Mentor Graphics Extend Collaboration to Third Generation of DFM

Allerdings habe ich nicht wirklich verstanden worum es dabei geht.
Simulation der lithografischen Vorlage um im Sinne des Yield kritische Muster vorab zu identifizieren? Wäre das eine treffende Zusammenfassung?
 
Zuletzt bearbeitet:
Samsung hat den 28nm-Prozess angeblich auch schon fertig. Aber was fertigt Samsung auf 32nm-SOI? Und für wen? Außer evtl. die eigenen ARM-SoCs?

Hier noch ein Link dazu, wo es heißt dass Samsung auch schon ready für 28nm wäre.
Egal ob SOI oder nicht es zeigt sich bei Samsung dass 32/28nm als gemeinsames Produkt entwickelt wurde.

Intel, IBM aber auch GF/AMD haben aber bei 28nm so ihre Bedenken (GF bisher ja nur für Bulk). Gerade AMD könnte aber per SOI-28nm im low power Bereich und für kleine DIEs sich näher an die möglichen Leistungsdaten von 22nm / Intel heran tasten als mit SOI-32nm und dem Warten auf 22nm bei GF-Dresden oder Ney Yok.
AMD kann sehr wahrscheinlich die 22nm deutlich später als Intel einführen während SOI-28nm ggf. bis Mitte 2012 verfügbar wäre. Mal abwarten ob der neue AMD-CEO hier realistisch die Fertigungsmöglichkeiten bei GF einschätzt. 22nm ist zwar besser vs. 28nm aber der Abstand ist eben geringer im Vergleich zu 32nm. Auch im Marketing erscheint 28nm vs. 22nm nicht so dramatisch wie bei der Zahl 32nm.
 
Egal ob SOI oder nicht es zeigt sich bei Samsung dass 32/28nm als gemeinsames Produkt entwickelt wurde.

Quatsch. 28nm ist Bulk, 32nm SOI. 32nm SOI wurde innerhalb der Allianz entwickelt.


Intel, IBM aber auch GF/AMD haben aber bei 28nm so ihre Bedenken (GF bisher ja nur für Bulk).

Welche Bedenken wären das die GF Deiner MeinungPhrasendreschmaschine nach hätte?
 
Kennt sich jemand mit Herstellungsprozessen gut aus?

Frag mich gerade, ob man aus einem parallel gestarteten C0 und B2 Prozess auf die Schnelle nen C1 bekommen könnte, da der B2 ja in der Hälfte der Zeit fertig ist. Grob gesagt, wohl ein ähnlicher Zeitraum fürs Masken erstellen und B2 chips backen.
Wenn also quasi die C0 Maske zeitgleich mit den ersten B2 Chips nit dem neuen Metal Layer kommt, kann man dann gleich die neue Metal Layer Technik für die C0 Maske nehmen und das dann C1 nennen?

Wenn du die Linehold an den ansprechenden Operation anhängst und dann auf einen anderen Loop schickst könnte das sicher gehen.
Die Frage wäre wieviel hat sich bis zum C1 schon geändert, den meist ist das nicht nur eine Veränderung. Schliesslich wird eine kleine Änderung im Werk nur mit einer neuen Buchstabenversion versehen, muss aber keine Steppingänderung zu Folge haben.

Aber wozu der Aufwand? Da muss das schon ein wirklich schwerwiegendes Problem sein, das Produkte auf eine Maske warten müssen.

Das er aus dem Fab-Umfeld stammt sollte offensichtlich als Vorteil gewertet werden wg. Beziehungen. Aber SOI/nicht SOI? Glaubst Du der geht jetzt durch die Reinräume und gibt dem Personal Anweisungen "die Temperatur hier noch um drei Grad steigern", dort drüben bitte aufpassen das kein Licht rankommt"?

Das wird sicher nicht passieren. Manager kennen sich kaum/garnicht mit Anlagen aus! Das sind Dinge für Prozessingenieure. Oft haben aber die direkten Arbeiter an der Anlage mehr Erfahrung und Wissen an einem Tool. Vorallem oft auch Ideen zur Verbesserung!
 
Zuletzt bearbeitet:
Das ist interessant, dürfte aber BLK sein, da für Grafikkarten.
 
Sicherlich, dennoch hat es mich als Laie doch erschreckt, wie viel Zeit zw. den 28nm Testchips und doch immer nicht vorhandenen Produkten (oder?!) schon jetzt vergangen ist...
 
Könnte AMD seine zukünftigen 28nm-bulk-Produkte auch bald bei Samsung fertigen lassen?

xbitlabs über 28nm-bulk bei GF und Samsung:

"Globalfoundries and Samsung Extend Fab Sync to High-Performance 28nm Technology...
...Globalfoundries and Samsung Electronics, on Tuesday said they would synchronize global semiconductor fabrication facilities to produce chips based on a new high-performance and low-leakage 28nm high-k metal gate (HKMG) technology. As a result of the collaboration, Globalfoundries and Samsung will be able to make 28nm chips for the same customers.

By virtue of the synchronization, the collaboration presents a “virtual fab” that derives manufacturing capacity from four geographically diverse fabs. Each company has two 300mm fabs that will qualify the technology: Globalfoundries Fab 1 in Dresden, Germany and Fab 8 in Saratoga County, New York; and Samsung S1 in Giheung, Korea and the company’s recently expanded fab, S2 in Austin, Texas. The four fabs represent a global footprint estimated to be the largest in the foundry industry for leading-edge capacity, offering customer choice enabled by close collaboration and an unparalleled de-risking of supply chain uncertainties..."
 
Danke Starcraftfreak, und so wies aussieht, sit dei SOI/Bulk Geschichte bei <32nm geklärt: Im Update des artikels steht, dass GF SOI weiter verwenden wird, bei Prozessen wo dies Sinn macht, asl da wären 22/14/10nm High Power "and beyond"

mfg memory_stick
 
Ein 20nm SOI Prozess hätte nach dem bisherigen Schema imho keinen Sinn gemacht. Klar ist das nicht in Stein gemeißelt, aber bei GF (und auch sonst) haben sich offenbar die Half-Node Prozesse für Bulk Fertigung etabliert, während man bei SOI bei den klassischen Full Nodes bleibt. Warum dies so ist weiß ich auch nicht (zumal die Bulk Prozesse nun auch in Full-Node Schritten weiterentwickelt werden, es wird keinen 22nm Bulk geben von GF).

Der Artikel hat btw nie behauptet dass GF kein SOI verwendet, nur dass man bei 20nm kein SOI verwendet aber durch das Update ist klar, dass man weiterhin SOI auf den Full-Node Schritten verwenden wird. Jedoch bleibt abzuwarten, wann 22nm SOI bei GF ready ist (diesbezüglich ist auch die Roadmap mit den 28nm Opterons interessant).

However, GlobalFoundries will continue to extensively utilize SOI on the 32nm node, as well as on the upcoming 22nm and 14nm nodes, when an even more advanced generation of SOI will make its debut.
Das finde ich interessant, heißt das etwa, die wollen auf FDSOI gehen?
 
Ich nehme an pdSOI nur bis 32nm und fdSOI darunter.
Ich glaube aber nicht, dass der von AMD benötigte Performance Prozess auf fdSOI zugeschnitten ist sondern eher auf Low Power. Insofern sehe ich AMD erst einmal eine ganze Weile bei 32nm stehen, was die Prozessoren betrifft, es sei denn Prozessoren wechseln zu bulk, wie es ja schon bei Bobcat geschehen ist.
MfG
 
FRAGE: Bedeuted Seiferts Aussage unten nicht, dass AMD SOI unter 32nm adieu sagt?


Zitat Seifert, offizielles Statement auf dem Q2 Call:


Operationally, we continue to demonstrate the earnings power of AMD's business model, generating nearly $300 million of free cash flow in the first half of the year. Key to this performance is our ability to work with both GLOBALFOUNDRIES and TSMC to meet our manufacturing needs. At the 28-nanometer node, all of our products will be based on bulk process technology, providing increased flexibility to work across these 2 committed and valued partner.​
 
@Emmerdeur

Nein.

At the 28-nanometer node, all of our products will be based on bulk process technology, providing increased flexibility to work across these 2 committed and valued partner.

Bezieht sich nur auf 28nm und sagt nichts über 22nm oder kleiner aus. Hatten wir hier im Forum auch schon mehrfach in verschiedenen Threads, soweit ich mich erinnere.
 
Danke BR,

der 28nm SHP wird bei Globalfoundries noch nicht offiziell präsentiert. In Deinem Link steht was von >4GHz, wobei dort unklar ist, ob die >4GHz schon bei 28nm oder erst ab 20nm gelten.
Es sieht so aus, als ob AMD vom Takt her gedeckelt ist, es sei denn, der 32nm SOI Prozess gibt deutlich höhere Takte her. Somit kann Bulldozer eigentlich nicht die Basis für ein Hochtaktdesign sein, wie es einige hier (bzw. im anderen Thread) vermuten.
MfG
 
GF "produziert" erste 28nm Wafer.

http://semiaccurate.com/2011/10/10/globalfoundries-start-fabbing-28nm-wafers/

Scheint aber erst ein Tapeout zu sein irgendwie widerspricht sich der Artikel:
eSilicon has just taped out their first 28nm MIPS processor that has now started fabbing at GlobalFoundries’ Fab 1 in Dresden according to a joint press release from eSilicon and MIPS.



PM dazu:

http://www.esilicon.com/press-relea...icroprocessor-cluster-for-embedded-platforms-
 
"The cluster has been taped out as a test chip, and will be offered as a hard macro core."

Testchips für 28nm gabs doch schon vor ein paar Wochen?
 
Zurück
Oben Unten